Reddit Posts
Helium Evolution - $HEVI.V imminent breakout expected
Helium evolution - $HEVI.V imminent breakout expected
What do you think?? Titan Machinery TITN??
Li-FT Power Ltd: A Remarkable Investment in Energy Storage (CSE: LIFT) (OTCQX: LIFFF) (Frankfurt: WS0)
$INTC Israels : 3.2Billion for a Western Worlds TSM. And that ASML NM Machine. 5nm, 3nm, 2nm coming. No More Taiwan TSM China Fear.
The big Picture for Royal helium - RHC.V and what investors are missing
Li-Ft Power Ltd Emerges as a Serious Lithium Contender (TSXV: LIFT, OTCQX: LIFFF)
Li-FT Power Ltd: A Remarkable Investment in Energy Storage (CSE: LIFT) (OTCQX: LIFFF) (Frankfurt: WS0)
Li-FT Power Ltd: A Remarkable Investment in Energy Storage (CSE: LIFT) (OTCQX: LIFFF) (Frankfurt: WS0)
A BMO analyst picks his winners and losers from bank earnings reports
$SAVE JetBlue + Spirit Merger Arb Summary up til now
Investing in World-class Hard-rock Lithium Project (CSE : LIFT, OTCQX: LIFFF, FRA : WS0)
Investing in World-class Hard-rock Lithium Project (CSE : LIFT, OTCQX: LIFFF, FRA : WS0)
Metasurface Eyepiece for Augmented Reality with Ultra-wide FOV
How Competitive will AMD be against Nvidia in AI Accelerator Market
Reasons other than IV that cause a skew in ATM prices ?
Is the EV motorcycle space going to be big in NA? or just Europe? $ZAPP
US Banks are grossly over leveraged. The coming downgrades are warranted.
Is the cyber security space going to keep growing?
Is the cyber security space going to keep growing?
Anyone have experience investing into sports teams?
$NILIF - Sprott Lithium Analyst just released an analyst report on Surge Battery Metals with projections implying the potential of 20X to 80X multiples still from here... 4 key takeaways listed here:
PACW Falls over 50 after reports of a potential sale, bringing banks stocks down
PACW fails over 50% after reports of a potential sale
Advice on next potential moves for my retirement account
BigCommerce Inc. ($BIGC) will announce its first quarter 2023 financial results on May 4, 2023.
PSLV vs THE WORLD - Must read for gold and silver bugs.
$COCO IS GOING TO THE MOON, IVE MADE A LOT OF MONEY IN THIS STOCK, I BELIEVE COCO WILL KEEP GOING UP TO THE MOON🚀📈🔝🏁💵👁️👁️☝🏼🥇💯
DUN NA NA NA NA - Quick 40 Second Test Run
Details into FTX days after the bankruptcy (500+ pages)
FTX Bankruptcy: Detailed bill for S&C posted
The average mortgage cost reaches 45% of household income, highest level in 40 years
FFIE- Short squeeze began - what do you think?
Help me understand my accumulating ETF iShares S&P 500 IUES NA / IE00B3ZW0K18
Dividends, so safe, that you can bank on it [DD]
FTX former CEO Sam Bankman-Fried arrested on Monday. https://t.co/DO37NA3Q7E" / Twitter
Tesla DD: The Reverse WSB Chipotle Signal Has been Hit, and Other Catalysts Incoming
So why exactly did we fall today... esp when Asia up Big time and Fridays jobs was not a big deal
The NZD is the strongest and the GBP is the weakest as the NA session begins | Forexlive
The GBP is the strongest and the JPY is the weakest as the NA session begins | Forexlive
The USD is the strongest and the GBP is the weakest as the NA session begins | Forexlive
The GBP is the strongest and the CHF is the weakest at the start of the NA session | Forexlive
Forexlive | The GBP is the strongest and the JPY is the weakest as the NA session begins
Patriot Drills 104.5 m of 0.97% Li2O and 61.9 m of 1.42% Li2O, and Extends Strike Length of Mineralization to 2.2 km at the CV5 Pegmatite, Corvette Property, Quebec DD
"Potentially the largest hard-rock lithium asset in North America"
Ever heard of EverGen Infrastructure Corp. (TSXV: EVGN | OTCQB: EVGIF)? Well, I'm glad you asked...
Ever heard of EverGen Infrastructure Corp. (TSXV: EVGN | OTCQB: EVGIF)? Well, I'm glad you asked...
This is hopefully the last time I can write about UEC on this subreddit
Will GCT rocket next week, what you think?
Top 10 watchlist TBLT, RMO, ABVC, HGTM, NA, BRDS, VLTA, REV, GWAV, TOMZ
Is AMTD a swing play at this (post pop) low?
AMDT following into steps of HDK? NA also is interesting new Chinese ipo, they seem to do quite well compared to us ipos
Applied Theory company...My stepdad has this company in his account and I don't get it.
Big time investors Putnam, Bridges Inv Mgt, Dreman Value Invest and Bank of America buy 2M Novation Co $NOVC Common off no news at pennies.
Mentions
Thanks for the insightful comment. It’s rare to see such in-depth discussion on this subreddit nowadays. May I clarify your point: you mean TSMC will fall behind competition because they don’t buy the high NA EUV from ASML while Samsung and Intel did? Currently, TSMC is maxed out on capacity. Don’t you foresee it will continue to max out its capacity in the next few years? If so, the bottleneck of growth will be its manufacturing capacity and profit margin, and not necessarily market share? From my understanding, TSMC thinks high NA EUV is not worth it: TSMC claims they refine their technology to produce similar results, and ASML cannot produce enough to meet TSMC’s demand anyway. As a TSMC investor, I do have concerns about not getting the latest and greatest and letting competitors have it. But I don’t have enough expertise to judge if TSMC’s engineers are right. Intel and Samsung have no choice but to buy from ASML because they do not have the know-how like TSMC. If TSMC can produce the same result without spending 400 million per machine, maybe TSMC can maintain its price competitiveness and even gain market when 1.4nm comes out? And focus their resources to buy the next big thing?
We all needed to understand that TSMC most important customer is Apple, there is no indication that iPhone is not releasing in Sep/Oct, it is around 6 months from HVM to product available, the most educated guess is that TSMC is more reasonable to be in HVM around Feb and not Dec, the website a number of sites out there is linking is an old website from TSMC which is a prior estimate. This is a trick, just treat you did not heard that TSMC had HVM N2, as there is no indication that Apple has shift its iPhone launch schedule. TSMC N2 is going to be in HVM in Feb 2026, there is the most reasonable educated guess. As mentioned FCF have a component of growth to be added. With Intel, AMD, Qualcomm leaving or planning to leave TSMC most advance node, i.e. the most profitable, there is no indication that TSMC can fill up the gap that left by Intel, remember the first N3 class customer is launch by Apple > Intel > nVidia / AMD > mediatek > Google, that No 2 spot make Intel a more important customer to TSMC then nVidia even Intel is making a loss. That is a "-" & "-", TSMC needed to find a customer that can replaced Intel and another one to maintain growth, that seems remote, nVidia is not going to fill that gap, they need a node that has D0 < 0.1 in order to manufacture a large die area chip, which Apple and Intel did not needed, therefore even N3B was in trouble, Apple's chip is smaller (as mobile vs server) and can absorb the loss. CC Wei @ TSMC = Bob Swam @ Intel. CC Wei need to go, he lead the company into a no High NA EUV company, did not even investigate other technology e.g. Dry Photo Resist, Pattern Sharpening. Quad Patterning, i.e. Good Luck, so instead of asking why I think Samsung can catch up, the better way to ask is why do you think other will slow down, while TSMC slow down. From this webpage the slide headed EUV Lithography Process and Roadmap challenge [https://newsletter.semianalysis.com/p/lam-research-tokyo-electron-jsr-battle](https://newsletter.semianalysis.com/p/lam-research-tokyo-electron-jsr-battle) You can clear see that what TEL is thinking and that is why TSMC N2 is still at 0.021 um\^2 which is the same as Intel 18A, both 18A and N2 is already hole pitch \~36nm (the TEL slide use 26nm) i.e. what TEL think is the limit for Low NA EUV, even with multiple patterning, if TSMC is using a sharper Dry Photo Resist then might push this limit, while Line Pitch can be push by Pattern Shaping (Applied Materials), because of these limit, from day 1 I am very skeptic that TSMC can scale > 1% density (SRAM) from N3E, (N3B in itself is a kinda of fail node not going to count). Whatever TSMC is say, I am not believing since that is the limit set by a number of industry research that I read. Intel will widen the PPA gap to TSMC and Samsung will close the Gap, that is going to happen, especially under CC Wei as their CEO.
To be a true node advancement I think it needed to have some sort of improvement, while I think TSMC has offer none. TSMC was thinking to use AI to optimise mask shape, but no technical paper can confirm this advancement, and knowing nVidia and Huang, if there is such a break through his leather jacket will appear, but that is not the case. Therefore TSMC N2 is a node that is without any high hope. SK Hynix has make a big leap in Photo Resist to dry resist and side with Lam Research, but I think TSMC and Japanese relationship, it is highly unlikely such a move will happen, I think dry photo resist is key if you needed to go for double and Quad patterning. MOR is not going to help, therefore TSMC can not break through the 0.021um\^2 SRAM density on production type of SRAM i.e. L1 - L3 cache. In this sense, Intel 18A with PowerVIA improved cell utilisation will make the node more density than TSMC N2. In all area of performance, power and area, 18A is killing N2. Intel was reported to be with Applied Material on Pattern Sharpening, TSMC also did not do that. I think it will help Intel to improve yield, therefore I think TSMC fake news media, is wrong about the Yield of 18A, the laptop market where Intel is very big, meant that Panther Lake has good yield, there is a recent video on CNBC, they are showing off Clearwater Forest, not Panther Lake, and that chiplet size is big, and it is testing the new High NA machine, if Clearwater Forest is already entered Risk Production, then yield of Panther Lake should be over 80% i.e. that is science or logic from the D0 calculation. If after the 1st month of Panther Lake Sales, there are notable chip shortage, I will change my mind, but at this moment is point to high yield rate of Panther Lake. TSMC is not going to have High NA EUV machine, look at the shape of Clearwater Forest, it is clear that some sort of High-NA EUV is planned, as the mask for the High NA EUV is small, the current requirement of FAB a large chip/chiplet is going to be rectangle, 2 mask for example left and right mask and align into 1 chip/chiplet. As the next High NA EUV machine will be deliver to Samsung, it is highly likely that Samsung will catch up with TSMC, with SK Hynix having even more advantage.
The previous valuation is base on TSMC has a node advantage. As an accountant valuation no matter normal is using FCF, TSMC is over valued. The last 2 years see that Intel has shift production to TSMC most advance node, i.e. that is the growth component, since that Intel shift that production back to its own foundry, sorry that grow is not going anyways. The last 2 years we also see that Pixel CPU is move to TSMC from Samsung. The last 3-5 years also seen that nVidia shift back to TSMC from Samsung. Growth is already well reflected. Currently is the other way around, AMD / Qualcomm all considering moving to Samsung or Intel, demand is reduced. TSMC growth is going to go slow, as Samsung is going to catch up in 2028 as High NA enter production in Samsung. TSMC node disadvantage is going to be widen as Intel using High NA for 14A in HVM. This is a professional finance analyst from a Chartered Accountant my friend. TSMC is a sell and sell it fast
If you are looking for the largest established miners, NTR is the largest for potash with six mines in Saskatchewan. FCX is NA’s largest copper miner with its operations in east AZ. FCX will report earnings on Jan 22nd.
Buying beat down oil cos was my first foray into individual stocks. I was buying penny stocks like CPE and CDEV and watching the wild swings of 10-20% both up and down.... but mostly down. Many small cos were on the brink of bk, some did go bk (Oasis). I was way down on those positions but held tight and bought OXY and DVN among others when they were CHEAP. You have to be able to stomach the volatility if you're gonna do OG. Low prices haven't even started yet... there is still storage available on vlccs and on land. Once that fills up, the bottom will fall out. Watch storage levels, Cushing is a good proxy for NA storage. This is not investment advice, just a story.
For investors that appreciate the facts: The gold to silver ratio after Friday sits at roughly 57:1 China spot silver has surpassed $80 USD/oz Bullion dealers across NA are selling at significant premiums, much of their stock is already sold out Silver supply has consistently decreased since 2016 Silver is used in every sector in the global economy Industrial demand increases due to EVs, electronics, solar panels etc which all NEED silver The paper shorts that JP Morgan have used for your lifetime to suppress the price of silver have been converted to long calls And YOU 🫵 think we’re at the top? Good luck!
For investors that appreciate the facts: The gold to silver ratio after Friday sits at roughly 57:1 China spot silver has surpassed $80 USD/oz Bullion dealers across NA are selling at significant premiums, much of their stock is already sold out Silver supply has consistently decreased since 2016 Silver is used in every sector in the global economy Industrial demand increases due to EVs, electronics, solar panels etc which all NEED silver The paper shorts that JP Morgan have used for your lifetime to suppress the price of silver have been converted to long calls And YOU 🫵 think we’re at the top? Good luck!
I’m gonna be honest, Netflix is putting out banger after banger in terms of in house shows and movies. Maybe not in the us market but in other markets, definitely. I have a subscription to a lot of streaming services. My go to is definitely Netflix unless I’m looking for a specific movie they dont have. And i know a lot of people that feel the same. Obviously NA is still the main market but just like EMEA has been growing, i think they’re really well positioned for massive growth in asia
Silver up 150% this year. Party just getting started. Miners have been ripping. NA
***No one*** has a roadmap to ‘1nm’, because EUV light wavelength is 12nm. ‘*x* nm’ have been marketing terms for a a while. Improvements have mostly come from FET design vs. feature sizes. N2 node has a gate pitch of 45nm. **N2**’s biggest change is GAA adoption. Finally moving from FinFETs introduced by Intel in 2011. **A16** is **Backside power delivery** (can increase transistor density via less dense power delivery on the front side while also improving efficiency by reduction in crosstalk from the extremely tightly packed power switching) — this the **BIG** one I mentioned. Power usage of chips have been steadily increasing with no abatement over the last 10 years, ***THIS*** will folks address that. Intel are attempting to introduce GAA and Backside power delivery in one process node (as well as finally move to EUV, so a 3rd learning curve there). Predictably, this has already been delayed twice. Slated for scale production 2026/2027 , TSMC’s A16 competitor also slated for 2026/2027 scale production. *If by some miracle they pull it off, they will be a generation ahead. Extremely doubtful given their talent exodus since 2016.l layoffs.* Finally, TSMC have been dominant due to early adoption of EUV, compared with Intels ‘whoopsie’ in buying **none** and being stuck on the 14nm +++ node. ASML’s next iteration of hardware (High-NA EUV) is being ordered by everyone this time, Intel / Samsung / ETC. They’re real ***risks*** to monitor and keep note of. They might be improbable, thanks to Intel perpetually flailing.
Amazon ramping up in SA. Never been so can't speak to the sentiment and performance but in NA Amazon's logistics reign supreme.
|8:30 am|GDP (delayed report)|Q3||3.2%|3.8%| |:-|:-|:-|:-|:-|:-| |8:30 am|Durable-goods orders (delayed report)|Oct.||\-1.1%|0.5%| |8:30 am|Durable-goods minus transportation|Oct.||\--|0.6%| |9:15 am|Industrial production|Oct.||0.1%|0.1%| |9:15 am|Capacity utilization|Oct.||75.9%|75.9%| |9:15 am|Industrial production|Nov.||0.1%|NA| |9:15 am|Capacity utilization|Nov.||76.0%|NA| |10:00 am|Consumer confidence|Dec.||91.7|88.7|
Well let’s frame this properly.. the loser IS NOT always the buyer. It’s usually a short term loser when the deal is announced, but that does not mean acquisitions are usually bad in the long run. When you are looking at an acquisition like this, you have to factor in synergies and change in competitive landscape. It would be very beneficial to take out their largest streaming competitor. The way I want to frame the acquisition is what price can Netflix + Warner Bros charge relative to the status quo. Netflix currently charges NA on average $17/month. I think they still have upside to charge maybe $25/month on average. With Warner, I think they can charge about $40/month. That means the Warner premium is worth about $15 per month per user over 90M NA accounts. That works out to about 16B per year in accretive revenue. Netflix currently nets about 31% of revenue as operating income so your looking 5B a year incremental profits at a cost of about 75B or 15x earnings. That says nothing of additional pricing power outside North America, less competition for bidding on assets and talents, and other revenue streams that scale better (think merch, toys, games amusement parks?). From a technical perspective, I want to see Netflix low 80’s before I make a move and it will probably be big.
China's export controls put ACM in an optimal position to supply NA with a domestic supply of REE.
EU is so behined lol NA is, has and will always be on top.
I work at ASML. People that say that China will develop EUV tools and compete with ASML do not understand ASML or EUV for that matter. It is not just EUV. It is the Source (Cymer), the drive laser (Trumpf) the mirrors (Zeiss), the Wafer handler (VDL), R&D partners (IMEC), and many more suppliers. On top of this you need to add all of the qualification steps which ASML does in house and is company secret. So in order to build an EUV machine, you need the complete supply chain plus what ASML does. Even if they succeeded in building an EUV machine, they would need years to learn how to use them, reason why ASML customers are buying High NA before volume production. If you think that this is not a lot already, ASML uses twinscan technology to maximize output and it is the only equipment manufacturer that does this. So if any Chinese EUV machine ever came to market, it would never compete with ASML and just be used domestically because of sanctions. By the time that China could develop the equivalent of an old NXE, ASML will have hyper NA. And ASML profits are not as high as what software companies are making, so the investment does not make economic sense either.
Nah lad, keep cooking we can add more Stratospheric NA-Ultra Extreme EXV photo voltaic optic on board-backplaned flip-side power delivery slip-steam coprocessing petabit memsistor architecture
For anyone who wants investing/portfolio ideas, here is my split below. This is not a pump or dump, I do not care if you buy or sell, as I will be holding long term: NLCP: 43% In my view, the safest way to invest in the space with a 12% dividend, no debt, and a CEO who actually knows what he's talking about in regards to the space. It has traded sideways a long time as the market for some reason doesn't price for rescheduling even though it will allow for expansion of companies in the portfolio and more need for companies like NLCP to build infrastructure. GTBIF: 30% In my view, best NA MSO with reasonable debt to cash to market value ratio. Valuation has gone up 50% since the rescheduling news, and while I do think it has a lot of room to grow if news and action continues, the valuation has caught up making it not as cheap. Still feels like the only mso to own if you are investing long term and want a company to hold forever. HITI 22% Best canadian company cannabis wise. Again, reasonable debt with growth prospects abroad and within the context of Canada. Was undervalued for so long and the valuation has finally caught up. Worried if the European prospects don't work out and rescheduling stalls, that there growth will also stall. Team feels good and the valuation is still very low compared to the meme stocks of Canada VEXTF 5% Just started investing in this company, growth is better than the vast majority of the industry, and the valuation is still very reasonable so it deserves 5%.
It is not number 2. It is only number 2 because Chinese EV are blocked out of NA and EU market by tariffs
They are apparently free when convenient.. keep up NA auto makers
They're probably talking about EXE (High NA) and not NXE (EUV).
>China's EUV machine is undergoing testing, and has not produced working chips, sources say >Government is targeting 2028 for working chips, but sources say 2030 is more likely They are cobbling together parts from outdated ASML systems and haven't even produced a chip yet. Then, let's say they do get to making a "working chip" in 2028, have to assume that's Low-NA EUV, which at that time will be years outdated. And then there is yield control (this is what really matters at scale). So basically it's yet another FUD story out of China, like how they announce a cr*ptocurrency ban every year.
>Intel Foundry announced that it has managed to install the world's most advanced EUV machine—ASML's TWINSCAN EXE:5200B High-NA EUV scanner—in its facilities. The company is producing its 14A node using High-NA EUV lithography, marking the first industry transition from Low-NA. In collaboration with ASML, Intel has completed acceptance testing at Intel Foundry for its 14A node to enhance wafer output. The TWINSCAN EXE:5200B is ASML's second version of High-NA EUV scanners, following the TWINSCAN EXE:5000, which Intel initially used for its 14A trial runs. Intel previously reported processing over 30,000 wafers in a single quarter, achieving simplified manufacturing by reducing the steps needed for a specific layer from 40 to fewer than 10, resulting in significantly faster cycle times. >The new TWINSCAN EXE:5200B achieves an output of 175 wafers per hour in standard conditions, where Intel plans to tune it to over 200 wafers per hour. The machine also advances overlay precision, enabling accurate alignment of distinct lithography layers down to 0.7 nanometers. This achievement builds on Intel's High NA EUV experience, which began in 2023 with the installation of the industry's first commercial High NA tool at its Oregon research and development facility. Intel is currently shipping 14A PDK 0.5 to customers, who are reportedly very satisfied with the node's development. The company itself has praised the 14A node development as it has been achieving far better yield and performance parameters at this stage of development than the 18A node. >Intel plans to begin volume production of its 14A node in 2027, and customers are eager to try the new technology. However, customers often express concerns about security and sufficient wafer capacity when working with new fab partners. To address these issues, Intel will need to acquire more advanced High-NA EUV machines before expanding its customer base. While processing 30,000 wafers per quarter may suffice for a few clients, more machines are necessary for significant foundry output. The 18A node, built on Low-NA EUV technology, will continue to be produced alongside 14A and will come in various versions, which should help balance the capacity demands. Absolute unit: https://www.techpowerup.com/img/veOsLaoHVoLGFyUP.jpg
We have a Lightning (Platinum too, that the dealer was basically giving away lol) and honestly we love it - 99% of the benefits of a truck without 99% of the drawbacks. Though it's clear that Ford (and everyone else in NA) has zero clue what they are doing with EV trucks. EREVs are the way to go here in NA due to perceived range issues that people still can't get over - not that the charging infrastructure inspires any confidence either lol. Everywhere else on the planet EV sales are up, while NA is the only region where they are cratering...got a pretty good idea why lol.
Well that's the neat part. It can get away with having nothing to do with car ownership, outsource all that to WeRide, which is the partnered Chinese company. Tesla cars will be too expensive outside of NA. Having to own and manage fleets seems more of a drag than a synergy.
Um, poopy pants is pooping the party here in NA
Pretty sure he has always been that person and probably what led him to take risks and make decisions no one else would. Flying cars, electric cars, etc were already an idea well on its way. The Prius was well before, and launched in 2000 in NA. It wasn't widespread, but everyone I knew in tech was getting one. They were the next thing on many people's mind for a long time before Elon came along and brought the timeline down. He was just crazy enough to sidestep regulations and break all kinds of rules, not give shits about anyone's safety, etc as long as the end goal was accomplished. He has always been this way.
>while intel stubbornly refused to abandon their DUV tools and new node development stalled? Not really. What happened is that Intel had to release another DUV node due to EUV simply not being ready. Remember that 10nm was originally slated for 2015-2016. Their mistake was trying to push 10nm specs to far with DUV. And also not upgrading the DUV equipment to the best industry had to offer. Since they wanted to re-use as much as possible from 14nm. Since those fabs were to be converted to 10nm. TSMC didn't have that problem with DUV. Since they leave much of the old infrastructure around for older nodes. And new nodes are where they expand. >TSMC bought all the first generation of low NA EUV machines and used them for sub 7nm processes Intel had/has extremely good relations with ASML. Since they were THE main backer for EUV development. They could have started ordering equipment any day and gotten preferential treatment. But power output was to low for 10nm. And they had to fix 10nm. Bringing out a entirely new node based around EUV may in hindsight have been faster. Than the time it took to fix 10nm, but that's the devil with slipping timelines. In the here and now the "fix" is always estimated to be faster than redoing things. And it's not like they didn't have a track record to go by. 14nm faced huge problems initially as well. But 9-12 months later after the famous Broadwell dual core paper launch, it was in full production.
Ain't that what broke Intel in their fight with tsmc? TSMC bought all the first generation of low NA EUV machines and used them for sub 7nm processes while intel stubbornly refused to abandon their DUV tools and new node development stalled?
It’s one of the most popular brands of NA beers. Tastes like real beer
This market is going nowhere. COST trading at 100x FCF LMAO AVGO trading at 100 PE, chip sales can double but earning can't 5x LMAO LULU losing sales in NA 2% in a quarter, shrinking margins, shrinking EPS LMAO
Really you’re saying NA is back on top with open source? afaik china relased open sourced models which are just superior.
He won a national math competition...in CHY-NA
[Per the 2024 NA box office](http://en.wikipedia.org/wiki/Major_film_studios#cite_note-:0-9), WB holds 13.7% and Paramount 10% market share respectively while Netflix is probably...0.1% or something. If they combine they'll climb to #2. Why's everybody talking about streaming market share but not theatrical release market share from a monopoly perspective?
Lol. Ok you keep thinking that. Intel has bought all of ASMLs High NA EUV machines. TSMC did not ad they believe they can squeeze a few more years out of the prior generation machines. By the time TSMC starts buying High NA EUV, Intel would already have expertise in making it work with high yield. Also the US government will not ever put TSMC manufactured chips in military hardware. Only Intel.
The bloke who replied to me missed my point lol. In North America, it's not as cheap. But the system I built was 3x more expensive 8 years ago. Your right, it's getting massively cheaper every year and will soon be competitive even in NA where natural gas and oil are abundant
Both NA leaders are currently oil bulls. Green is back asleep till politics swing back left.
Based on your questions it's a bit vague what type of answer you're looking for but here's my take: Intel has been the sick man of chips for sure, they started paying dividend, lacked innovation because they were the best and (for a while) there was no need to innovate. Then TSMC came around, Intel lost all their foundry deals and THEN they managed to ruin 13 and 14 gen processors (which has been patched for a while now but was definitely not good for their name). Now here is where it gets interesting. They admit they are behind, put an engineer as CEO (Pat Gelsinger, 2021) and let him spend tons of money on new tech (High NA-EUV machines and nodes like 18A and 14A). In the meantime the world has moved to a more uncertain place, pushing all continents to start manufacturing again. Fast forward to today; Intel has started 18A HVM in their own fabs, benchmarks are looking very good and even if they are not the best, others will be forced to use their foundries because the geopolicital risk of not doing so is simply too high. And guess what? There is literally no other option if you want to fab at an American company. Currently Intel is fabbing at TSMC themselves, so even if their own process to fab chips is 20-30% more expensive, it is for them still wildly cheaper than doing it at TSMC because most of that money is profit for TSMC. This alone, WITHOUT foundry deals, will make Intel become an interesting investment again. Now add foundry deals and EMIB packaging and kaboom; stock will explode.
That is what I was saying, everyone is buying more forward on the others.(they are not as high as they were) Most were 50 to 100 as everyone was buying the AI Hype. And TSM has stayed in the range it always is around mid 20s. They have been growing consistently and a small premium is not bad, but production is maxed. I would expect TSM to start to climb soon. They have a few fabs opening or opened in 2025, and more through 2026 and 2027. And some will be EUV, at least 1 is only DUV. People may be more conservative with forward buying on TSM with the production operating at max capacity, which means no new money until new machines are up and running. All they can produce is 185 per hour from each EUV they have. There has been no reason for the stock to increase since they could not make more chips to sell. But I find it strange that everyone thinks TSM is so important, when AMSL is just as important. The only people that make the machines for them. AMSL also has an employee in each fab to keep the machines running, they are the only ones that can work on them. And there is another company that AMSL needs so they can keep building, Zeiss. Zeiss is a private company that AMSL bought 24.9% of, to keep the supply flowing. Intel new machines (2) and a 3rd coming soon, can produce over 200 per hour. The $350 million they spent on each are probably why their PE is so high. It will correct itself soon. Samsung will probably get their 1st High-NA EUV next and then possibly TSM. AMSL is hoping to be able to build 20 High-NA EUV per year by 2027. They were building 45 EUV per year, over 500 DUV And AMSL is already doing R&D on the Hyper-NA EUV, the next small chip. TLDR 🤣 sorry, I have been reading a lot lately. And all info is only about the Best chips, the chips people will do anything to get.
Nobody can tell the future, but if you watch TSM, and find a new EUV or High-NA EUV being installed at a new fab, or expansion on an old fab, they will increase production, which SHOULD increase revenue. But it may take a while to recover from the money spent to make it happen. As in construction, real estate, and the $150 million EUV or $350 million High-NA EUV. It is a wait and see. Will people pay more for the forward or wait until the money is flowing. So right now, my guess, it will remains steady for a while longer. Intel is making deals now for their chips. They installed a High-NA EUV last year, now they have 2 working and another being installed. Originally for R&D, but they say they will sell to others now. 30,000 High-NA EUV chips already produced I know they have talked to Nvidia, rumor is Microsoft may have made an agreement, and others. Intel was the 1st to get a High-NA EUV, and they are the only company making them in the US. I believe AMSL is trying to work up to building 20 High-NA EUV machines a year. If you look at one, it takes a lot of shipments just to send the machine to a location, after the build it in their factory, test it, disassemble it, pack and ship it to the location, and finally reassemble it. Work that can only be done by AMSL and into a Clean Room, cleaner than a surgical room. The problem, everyone bought Intel over the last week, raising it 17%. And soon there will be another, better machine the Hyper-NA EUV. It will make them even smaller. It is still in development. And these machines are just the beginning of making a chip, many more steps after the wafer is made
> The head cheeto man in charge declared that intel isn’t allowed to fail and number go up. This was always the thesis: the notion that Intel was somehow a "going concern" was always stupid, the USG was not actually going to allow the only company conducting leading-edge process R&D and manufacturing, on US soil, to go belly up while there was a credible, near-term (~2027), geopolitical risk of China invading Taiwan and either controlling or destroying the *other* major chip fabricator in the world, *particularly* in light of the fact that semiconductors had increasingly become a national security issue as the AI narrative developed. I guess nothing absolutely guaranteed that equity owners of INTC wouldn't be wiped out if there was a restructuring or something, but Trump is making the move that pretty much any administration was going to have to make, sooner or later. TSMC isn't going to export leading-edge processes to its American fabs unless or until shit hits the fan and Taiwan ceases to exist, so the best move the US can make is to backstop Intel, and force American companies to work with them until this fab volume situation is derisked. Nobody was going to just let all these High NA EUV machines and tooling get mothballed or sold.
Intel got the best machine BEFORE anyone. The 1st ever High-NA EUV installed at $350 million at Intel. You do not have to watch, but the size is massive. https://youtu.be/8i9rs4LNSlI?si=z2Hn5LjByuYnfZpm
Sorry, that was both machines together, last year they sold 44 of the EUV, the one to make Nvidia chips. I am not sure if they are building High-NA EUV yet, but they hope to build 20 per year by 2028
I'm very deep into Intel. Started buying a small position last year and really jumped into it at the beginning of this year when it was below $20 as I believed a fair value in the event they decided to stop manufacturing to be around $50 and I found that outcome to be unlikely given the geopolitical situation + ever growing need for semiconductors. Since then Trump implicitly gave it a backstop on the manufacturing side and likely nudging customers to foundry, I believe it's going to be better than that base outcome. Confident their foundry is more competitive than Samsung but less than TSMC for the next 3 years. If TSMC continues to delay high-NA tooling then we could very well see Intel reclaim the top spot (ironically a similar situation unfolded in the opposite direction a decade ago) in the 5+ year time frame. I'd rather not see Taiwan get rocked by China, but should that happen it will be very good for Intel. I also think the design side is starting to get back on track... For obvious reasons my short-mid term calls that I bought over the last few months will be sold soon, my leaps I plan to hold until closer to their expirations (end of 2026 and 2027), my shares I plan to keep until probably 2030.
You’re right, but they have enough to meet current supply needs! So where does buttloads come from? And I assume you’re a VP of ASML/INTC to know the exact amount of orders of high/low NA tools each company is getting? Intel is still planning 16B in capex spending next year, I wonder if any tools are covered there
> Intel doesn’t need the buttload of EUV machines that TSMC has as they don’t produce as much as TSMC. i never said they need as many as tsmc has, reading master. >ASML could also absolutely meet the demand of the extra EUV tooling. They are estimating 75 Low NA tools next year. and they're all going to intel, right? oh. what do you mean, intel, samsung, and tsmc order these well in advance?!
Intel doesn’t need the buttload of EUV machines that TSMC has as they don’t produce as much as TSMC. For their current demand their WSPW is acceptable, though they will likely be increasing orders going into 26. ASML could also absolutely meet the demand of the extra EUV tooling. They are estimating 75 Low NA tools next year. You sound like you missed the last 5 years of investment
Finally a day with drunk and wasted NA traders joining the market. Had some weird talks at thanksgiving dinner table? Revenge trade your emotions away! Can't wait for all the retardation that's gonna happen today!
If you’re bored, you can still gamba on some foreign exchange… … oh I forgot: these markets all suck ass compared to NA. 🤌
I saw this one guy from SEA say he doesn’t invest in NA markets because there’s like a 0.9% fee, so he sticks to companies in SEA which grow slowly or not at all lol
Tips are stupid and almost entirely a NA problem, being from europe nobody expects it where i’m from. Considering i’m in a very touristic country too. Companies need to stop being greedy and laws need to be set to pay better wages for restaurant workers in NA.
I can vouch for glp1 with habits. I have trouble even finishing my NA beers.
Wow. Are they discussing the possibility of market pump in the stock market. I hope they gonna pump MRNA, SBET, UPXI, OPAD, NA, and the ones you guys have. Yeah plan for market pump billionaires!
Don't forget today's economic calendar, a lot of information drops today like; \**NA*
Bubble/Fake Hype guide - BYND Edition: 1. Fake "I bought more." Screenshots with fake portfolio 2. Upload Shorts Fail-To-Deliver Charts that you do not understand but "something with short squeeze" 3. Generate a ChatGPT text with: "Write a short text why Beyond Meat will grow." (Extra points: use the free version with no reasoning and no internet access) 4. Go to the next supermarket and fill your bag with Beyond Meat products for a photoshooting round then leave them in the supermarket. (Believe it or not I have seen this first hand last week) 5. Downvote voice of reasons or attack them directly. 6. Spam some AI generated pictures with references to gamestop hype with generic braindead one liners about strong apes. 7. Act like the product is exclusive ... do not mention one of the 30 other brands with partly better product line. 8. Go to McDonalds and order the last McPlant menus and take a photo (ignore the face that McDonalds has removed McPlant in most of NA and EU McDonald’s restaurants and just selling their remaining stocks. 9. ... go to the mirror and tell yourself: I did not waste my life savings on this - then repeat 1-8.
Wonder if Barrick will split up into Africa and NA components. Wonder if it does... if AEM picks up the NA assets.
Look at any NA index and then click the 5yr, 10yr, and all time charts. How can you call our current situation a down market?
I’ve been adding to my positions in Barrick and previous metal miner SBSW since early last week and the only things I added on the dip today were more of both of those. Tonnes of gold will be sold tonight and more tomorrow in NA as we follow suit. Don’t miss it.
You guys are nuts. We are just shy of all-time high's on all of the NA indexes and people are acting like we are in a bear market.
Because the use cases never extended beyond medical ptsd/pain relief, and recreational use leaves ppl non-functioning for a few hours (as opposed to cigarettes were u go for a smoke break and get back to work immediately), so naturally that reduces opportunity for consumption Not to mention the recent studies that hint regular weed usage may aggrevate psychosis in susceptible people And that weed is still a controlled substance in many parts of the world, so your market is entirely limited to NA
You can consider layering in your buys, adding on dips. If you want to learn more about the company and drug, here’s their Nov corporate update: https://gaintherapeutics.com/wp-content/uploads/2025/11/Corporate-Deck-GANX-November-NA.pdf And here’s a recent interview with the CEO that is really good. Note that the CEO had been very conservative up until this interview in terms of making statements about future outcomes: https://youtu.be/CJcH-n6iKVU?si=hcO1dyMRXwCySMWB
So you didn’t take the time to actually look into it. Easier just to call it spam. Here’s the Nov corporate update with a lot of the data and science: https://gaintherapeutics.com/wp-content/uploads/2025/11/Corporate-Deck-GANX-November-NA.pdf
Notable individuals with this last name include Argentine footballer and coach [Nery Pumpido](https://www.google.com/search?q=Nery+Pumpido&rlz=1C1CHBD_enCA1150CA1150&sourceid=chrome&ie=UTF-8&mstk=AUtExfDR2wfLejpm7o8tP2CfDziuQsUANb5w8mZRQL8U1Ww9dDDkn-hEKj-IAqNd6DQfyH0fVy09NA3_10s_2aj4vEp6iMlGtxSbbbj63cqk2CkgCKncGrMsIEYM3L2RAj_sPn6WoLFI_uoJ5DJaIgr8NkC9wxc7fLtkVUpntHnkeiIc_3w&csui=3&ved=2ahUKEwijsMvAiemQAxWTEDQIHWyQPP8QgK4QegQIARAF) and his nephew [Facundo Pumpido](https://www.google.com/search?q=Facundo+Pumpido&rlz=1C1CHBD_enCA1150CA1150&sourceid=chrome&ie=UTF-8&mstk=AUtExfDR2wfLejpm7o8tP2CfDziuQsUANb5w8mZRQL8U1Ww9dDDkn-hEKj-IAqNd6DQfyH0fVy09NA3_10s_2aj4vEp6iMlGtxSbbbj63cqk2CkgCKncGrMsIEYM3L2RAj_sPn6WoLFI_uoJ5DJaIgr8NkC9wxc7fLtkVUpntHnkeiIc_3w&csui=3&ved=2ahUKEwijsMvAiemQAxWTEDQIHWyQPP8QgK4QegQIARAG), as well as Spanish judge [Cándido Conde-Pumpido](https://www.google.com/search?q=C%C3%A1ndido+Conde-Pumpido&rlz=1C1CHBD_enCA1150CA1150&sourceid=chrome&ie=UTF-8&mstk=AUtExfDR2wfLejpm7o8tP2CfDziuQsUANb5w8mZRQL8U1Ww9dDDkn-hEKj-IAqNd6DQfyH0fVy09NA3_10s_2aj4vEp6iMlGtxSbbbj63cqk2CkgCKncGrMsIEYM3L2RAj_sPn6WoLFI_uoJ5DJaIgr8NkC9wxc7fLtkVUpntHnkeiIc_3w&csui=3&ved=2ahUKEwijsMvAiemQAxWTEDQIHWyQPP8QgK4QegQIARAH) and Cuban gymnast [Roberto Pumpido](https://www.google.com/search?q=Roberto+Pumpido&rlz=1C1CHBD_enCA1150CA1150&sourceid=chrome&ie=UTF-8&mstk=AUtExfDR2wfLejpm7o8tP2CfDziuQsUANb5w8mZRQL8U1Ww9dDDkn-hEKj-IAqNd6DQfyH0fVy09NA3_10s_2aj4vEp6iMlGtxSbbbj63cqk2CkgCKncGrMsIEYM3L2RAj_sPn6WoLFI_uoJ5DJaIgr8NkC9wxc7fLtkVUpntHnkeiIc_3w&csui=3&ved=2ahUKEwijsMvAiemQAxWTEDQIHWyQPP8QgK4QegQIARAI). Make DD....)))
Phase 2 ready. They just started a 9 month extension for the majority of the Parkinson’s patients in the 1b who wanted to continue with the treatment (because they’ve improved). You can start here: https://gaintherapeutics.com/wp-content/uploads/2025/11/Corporate-Deck-GANX-November-NA.pdf Also check out this interview with the CEO feom a week ago: https://youtu.be/CJcH-n6iKVU?si=RWnU5cSH-1QvWy2E
There's a lot of good information on their website. You can start here: [https://gaintherapeutics.com/science-and-technology/science/](https://gaintherapeutics.com/science-and-technology/science/) Also, check out their November update: [https://gaintherapeutics.com/wp-content/uploads/2025/11/Corporate-Deck-GANX-November-NA.pdf](https://gaintherapeutics.com/wp-content/uploads/2025/11/Corporate-Deck-GANX-November-NA.pdf)
Anyone interested in the science, there’s good information here in their November corporate update: https://gaintherapeutics.com/wp-content/uploads/2025/11/Corporate-Deck-GANX-November-NA.pdf
Lol..bols.. TOLD YOU NA it was a bull trap.
If it’s a mexican company. it’s probably a chinese one my NA company has sourced many raw materials and equipment from Mexican plant via Chinese HQ
> op used a comma instead of a period; something euros do; na doesn't do that OP is in SA not NA, where they DO use a comma instead of a period. Just take the L man.
I download data into R and I’ve noticed a lot of issues this week which has been frustrating. Packages like quantmod and TTR that download data from I believe yahoo finance. Yesterday TQQQ / SPY etc didnt have a 11/04 Tuesday price despite it being there the night before. It made me realize I need more QA QC because this is frustrating trying to run code intraday for NA errors. I have no idea what’s going on, but I’ve noticed it from these R packages.
freehugzforeveryone[I believe this scene makes perfect sense](https://9gag.com/gag/arm3NA7?utm_source=copy_link&utm_medium=post_share)
thats true maybe ill get some NA beers forgot those exist
Cheers my NA lemon athletic. Still like taste beer, and drugs. But gaaaadaaaaam that alcohol loves to steal me money
Wow! that is definitely a pleasant surprise to find someone from the land of green and pure here on weekly earnings gambling away like a true regard. Salute to you Sir! Just one question, do you manage your brokerage from back home or you are in NA?
easiest short NA/EU/world setting up rn
No they wont... they have not succeeded at even making DUV machines. While the west is already commercially beginning to use High-NA EUV.
ASML sells lithography machines which is a much more limited growth niche. Their High NA lineup will likely generate 4-6B in the next few years. They aren’t able to hyper scale enough to be like Nvidia TXN produces micro controllers primarily which can be used for basic AI tasks like recognizing sound or sensing. Aside from that they aren’t a focal point for AI hyper growth. Not sure about the third company
Consider when NA EAST AWS region went down a few weeks ago the entire internet pretty much stopped functioning. Hope that helps
ASML getting more recognition now. The only critical AI enabler without Taiwan risk. They project NO DECLINE in 2026 after accounting for losing MOST of its business in China. 1200 soon and 2000 once high NA adoption accelerates.
Flat revenue FC is for FY2025 not 2026. This is including the loss of Starbucks NA as customer (end 2024) - so they compensated this with growht in other areas... They will also beat the guidance, since first 3 quarters growth 1.4% is already above the guidance - where best quarter is always Q4. So overall, net of Starbucks 2025 growth will be +5%, in the turnaround year they were significantly improved profitability... Not so many companies can achieve both at the same time...
Imagine if Canada opens up the EV market to China since the NA market is being killed off by Trump. Is NIO the best option?
Yes. And Jamaica primarily exports this aluminum to NA. AA is the ticker to watch.
I'll hop on the conversation. Let's say you live in Turkey where the Turkish Lira's value has fallen drastically in the past decade due to inflation and incompetent central banking. Now, with stable coins, instead of betting on Turkey to have their currency be strong, you can have say USD on a stable coin. This means that the money you earn in Lira can be easily transferred on a network (say Solana) which you use to store USD (say USDC, one of the most popular ones). At the end of the day, living in a poor country, you don't need to worry about the exchange rate for your savings and keep in USD or even buy gold "stable coins". Other countries can have their own tokens. There's the Euro, I know that there are plans by companies like Shopify and National Bank of Canada to create a CAD stable coin. This allows people to trade without barriers and fees from any exchanges. At the same time, say for Canada, if non Canadians use the stable coin, since it's backed by Canadian treasuries, the national dept can be paid off with a lower rate because of the higher demand. The same goes for other countries with high demand currency like the US. Some people talked about using crypto for stock exchanges. This allows people who otherwise cannot access the NYSE through their broker, bank or if they don't have a bank. This means more accessibility for stocks and ETFs by tokenizing the asset. Check out NVDAx for instance which is a token that is worth 1 NVDA share. It's not perfect, but for people living outside of NA/Europe, it's a great way to save money without the influence of your government who can easily seize your assets. There are risks of no regulation obviously and I'm not 100% on board with all of what I said. But a crypto wallet (especially if it's a physical "cold wallet") is a lot safer in most countries than a bank account. Even in Russia. With sanctions and the removal of the USD from the economy, you cannot invest in the American stock exchange with your bank there. It's also illegal to own more than a certain % of your net value in foreign currencies. With crypto, this is all gone. Theoretically. (Ps: Fuck Putin, but you get my point)
It’s not that long ago, you forgot what he did with Our NA free trade agreement? I get you hate Trump, but at least get your facts straight
You seem to only count US people as people. Under IRC 2101, any non-resident, non-citizen who dies holding U.S.-situs assets above the tiny $60,000 exemption is exposed to the federal estate tax, with rates up to 40% (same brackets as U.S. citizens). That means a foreigner with >$60k in U.S. stocks or real estate technically leaves their heirs facing Form 706-NA and estate tax. Treaties can reduce this, but many investors aren’t covered. So while Americans think in terms of the ~$13.6M exemption, for the rest of the world the threshold is $60k. I would suggest anyone to stay away from US situs assets and invest via Ireland, Singapore or Hong Kong.
There is a bigger issue about whether or not various countries and groups (US, Canada, EU, etc) are willing to have their auto industry directly exposed to competent Chinese manufacturing at an order of magnitude lower cost in labor. The U.S. was doing some mitigation by having parts and vehicles made in Mexico, for instance. And for some of the technology and high cost components of a BEV, the state of the art is made in China, and at the cheaper cost. That is a huge narrative change over what a lot of people think about China and “western” countries. But to think Tesla is not both Chinese and not-Chinese at the same time is not thinking this through. They have a fully localized supply chain for the Model 3 and Y in China and compete well there already. Their Shanghai factory is already their biggest worldwide, making vehicles with higher quality than in the U.S. and certainly better than what is made in Fremont. They can fully leverage a scenario where tariffs drop off. Or just a drop off in tariffs on raw materials or parts. It is the gasoline vehicles that get nuked… in NA and Europe as the first of acquisition and ownership makes them so much more desirable as transportation appliances, which is what most people buy anyways (See any number of Toyota, Honda, and Subaru products sold today).
This is an overly simplistic view that ends up being wrong. Tesla is already a Chinese manufacturer, in some ways like GM. The cost per hour for labor, up and down the supply chain in China is about $2-5, while in the U.S., it is an order of magnitude higher. Opening up to Chinese imports without tariffs doesn’t devastate Tesla… they will simply make more from China. Instead, it devastates ICE in the U.S. and Europe. Look inside of all the automakers in NA and Europe… how many BEVs can they make? Can they compete against BEVs that are priced around $30k for a premium SUV or sports sedan? How much of the economy would be devastated if gasoline cars become the expensive ones, with high operating costs? Tesla isn’t afraid of that future. They have been preparing for it. It is everyone else that would be devastated. In China, Tesla is at the top of their vehicle segments already.
This sub has been negative on Tesla for over a decade. The same narratives as Tesla went from making less than 25,000 cars a year to making more than 1.5 million cars a year, as GWh deployed went from less than 1 GWh to over 160 GWh across their product portfolio. At the same time, the cost per KWh dropped from $300 to less than $100. One would think that subs like this one would be able to understand the overall context, but it seems for so many here, fundamentals begins and ends at P/E ratio. As for BYD.. yeah, no. BYD barely competes with Tesla, they are more co-opetition. And BYD is a Tesla supplier. Many Chinese cars are aimed at folks that only drive in urban areas (low cost) or are driven by chauffeurs (high end) and are not quite for European or NA markets that emphasize the driver and front row versus 2nd row.
ASTS sats and speeds are far superior. Starlink would need something like 5-6k sats in the sky for NA coverage for calls and text. Plus they’ll need to get phone makers to update their hardware to work with their signal. ASTS needs roughly 40 sats for full NA coverage at nearly 5G speeds. Your whole phone will work; apps, FaceTime, etc. no hardware update necessary, every cell phone already in existence will work.
18A full production is still YEARS away. Their current 18A yields are really bad and they are only able to make some of lowest end processors from what I've been able to gather. The number one primary objective for Intel over the past 4-5 years has been to make 18A happen. We're still not there yet. TSM is still not buying a High NA EUV system from ASML which is concerning to me. But Rapidus in Japan is buying it and they are far away too. So remains to be seen...the investments in Intel are for the fab not the chip designing. AMD is available fill chip design void if we have to for x86.
But chips keep getting smaller. Sooner rather than later most EUV machines will become obsolete and manufacturers will have to move over to their latest High NA-EUV machines which have just recently hit the market and are even more expensive. Beyond that they are working on Hyper NA-EUV machines scheduled for launch in 2030. By then even those who may be able to skip this generation of machines will have to upgrade. Add to the fact that these machines are becoming more complex it should generate huge revenue growth just in terms of maintenance which already accounts for 25% of their total revenue.
But chips keep getting smaller. Sooner rather than later most EUV machines will become obsolete and manufacturers will have to move over to their latest High NA-EUV machines which have just recently hit the market and are even more expensive. Beyond that they are working on Hyper NA-EUV machines scheduled for launch in 2030. By then even those who may be able to skip this generation of machines will have to upgrade. Add to the fact that these machines are becoming more complex it should generate huge revenue growth just in terms of maintenance which already accounts for 25% of their total revenue.
Furthermore, scaling of lithography machines is limited by the existence of physics. But that doesn’t mean revenue cannot scale. ASML can raise prices indefinitely until demand and supply are matched. The primary reason they don’t is because: 1. Their customers are far more than TSMC. 2. Half their revenue is legacy DUV machine services. 3. Contracts were signed years before the AI boom. But eventually they will raise prices, or existing machines will reach reticle or focus limits… forcing a purchase of EUV or High NA.